考研论坛

 
查看: 460|回复: 8
打印 上一主题 下一主题

[题目求解] 哪位大神帮我解答这个EDA程序啊,表示完全看不懂啊,谢...

[复制链接]

5

主题

51

帖子

88

积分

新手上路

Rank: 1

精华
0
威望
0
K币
88 元
注册时间
2015-8-12
跳转到指定楼层
楼主
发表于 2015-12-6 21:15 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity exp10 is
  port( Clk       :  in   std_logic;   --时钟输入
        Rst       :  in   std_logic;   --复位输入
        NU,ND     :  in   std_logic;   --输入:控制频率的改变
        MU,MD     :  in   std_logic;   --输入:控制占空比的改变
        Fout      :  out  std_logic    --波形输出
       );      
end exp10;
architecture behave of exp10 is
  signal N_Buffer,M_Buffer : std_logic_vector(10 downto 0);
  signal N_Count :std_logic_vector(10 downto 0);
  signal clkin : std_logic;
  signal Clk_Count  : std_logic_vector(12 downto 0);  --产生一个低速时钟,用于按键判断
  begin
    process(Clk)      --计数器累加
      begin
        if(Clk'event and Clk='1') then
           if(N_Count=N_Buffer) then
              N_Count<="00000000000";
           else
              N_Count<=N_Count+1;
           end if;
        end if;        
    end process;
    process(Clk)      --波形判断
      begin
        if(Clk'event and Clk='1') then
           if(N_Count<M_Buffer) then
              Fout<='1';
           elsif(N_Count>M_Buffer and N_Count<N_Buffer) then
              Fout<='0';
           end if;
        end if;        
    end process;
    process(Clk)
      begin
        if(Clk'event and Clk='1') then
           Clk_Count<=Clk_Count+1;
        end if;
        clkin<=Clk_Count(12);
    end process;
    process(clkin)   --频率及占空比的改变1
      begin
        if(clkin'event and clkin='0') then
           if(Rst='0') then
              M_Buffer<="01000000000";
              N_Buffer<="10000000000";
           elsif(NU='0') then
              N_Buffer<=N_Buffer+1;
           elsif(ND='0') then
              N_Buffer<=N_Buffer-1;
           elsif(MU='0') then
              M_Buffer<=M_Buffer+1;
           elsif(MD='0') then
              M_Buffer<=M_Buffer-1;
           end if;           
        end if;
    end process;
end behave;
    回复

    使用道具 举报

    5

    主题

    72

    帖子

    118

    积分

    一般战友

    Rank: 2

    精华
    0
    威望
    0
    K币
    118 元
    注册时间
    2015-9-16
    沙发
    发表于 2015-12-7 21:28 来自手机 | 只看该作者
    这是一个vhdl的程序,控制占空比的

    来自Android客户端

    回复

    使用道具 举报

    您需要登录后才可以回帖 登录 | 注册 人人连接登陆

    本版积分规则   

    关闭

    您还剩5次免费下载资料的机会哦~

    扫描二维码下载资料

    使用手机端考研帮,进入扫一扫
    在“我”中打开扫一扫,
    扫描二维码下载资料

    关于我们|商务合作|小黑屋|手机版|联系我们|服务条款|隐私保护|帮学堂| 网站地图|院校地图|漏洞提交|考研帮

    GMT+8, 2024-6-17 13:52 , Processed in 0.031527 second(s), Total 6, Slave 7(Usage:6.5M, Links:[2]1,1_1) queries , Memcache On.

    Powered by Discuz!

    © 2001-2017 考研 Inc.

    快速回复 返回顶部 返回列表
    × 关闭